“CPLD”查询结果


请输入要查询的词条内容:

CPLD


CPLD(Complex Programmable Logic Device)复杂可编程逻辑器件,是从PAL和GAL器件发展出来的器件,相对而言规模大,结构复杂,属于大规模集成电路范围。是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆(“在系统”编程)将代码传送到目标芯片中,实现设计的数 详情>>

Altera FPGA/CPLD设计

图书信息内容简介图书目录图书信息书名:AlteraFPGA/CPLD设计作 者:EDA先锋工作室出版社:人民邮电出版社出版时间:2011年2月1日开本:16开定价:49.00元内容简介《AlteraFPGA/CPLD设计(高级篇)(第2版)》结合作者多年工作经验,深入地讨论了AlteraFPGA/CPLD的设计和优化技巧。在讨论FPGA/CPLD设计指导原则的基础上,介绍了Altera器件的高级应 详情>>

Altera FPGA CPLD 设计


Altera FPGA/CPLD设计(基础篇)(第2版)

基本信息定价:¥45.00元作者:EDA先锋工作室,王诚,蔡海宁,吴继华编著出版社:人民邮电出版社出版日期:ISBN:9787115246707字数:446000页码:版次:1内容提要本书结合作者多年工作经验,系统地介绍了FPGA/CPLD的基本设计方法。在介绍FPGA/CPLD概念的基础上,介绍了Altera主流FPGA/CPLD的结构与特点,并通过丰富的实例讲解QuartusII与ModelS 详情>>

Altera FPGA CPLD 设计 基础


Altera FPGA\CPLD设计

图书信息作者简介内容简介目录图书信息出版社:人民邮电出版社;第1版(2005年7月1日)丛书名:基础篇Altera公司推荐FPGA\\CPLD培训教材平装:318页开本:16开ISBN:7115134995条形码:9787115134998尺寸:26x18.6x1.4cm重量:726g作者简介王诚,任职于EDA先锋工作室。内容简介《AlteraFPGA\\CPLD设计》结合作者多年工作经验,系统地 详情>>

Altera FPGA CPLD 设计


AVR单片机与CPLD\FPGA综合应用入门

AVR单片机与CPLD\\FPGA综合应用入门内容简介目录AVR单片机与CPLD\\FPGA综合应用入门作 者:黄任编著出版社:北京航天航空大学出版社出版时间:2004-8-1版 次:1页 数:201字 数:297000印刷时间:2004-8-1纸 张:胶版纸印 次:1ISBN:9787810775328包 装:平装内容简介本书系统论述了单片机与CPLD/FPGA之间的通讯和合作方法,并全面阐述了 详情>>

AVR 单片机 单片 片机 CPLD FPGA 综合 应用 入门


CoolRunner-II CPLD

CoolRunner-IICPLDCoolRunner-IICPLD由赛灵思(Xilinx)公司日前推出的CoolRunner-II是建立在Xilinx的XC9500和CoolRunnerXPLA3系列产品基础之上的新一代CPLD,它结合了XC9500系列高速度和方便易用特点,以及XPLA3系列的超低功耗特点。CoolRunner-II系列采用0.18微米工艺制造,核心工作电压为1.8V,I/O电 详情>>

CoolRunner-II CoolRunner II CPLD


CPLD/FPGA技术应用

图书信息内容简介图书目录图书信息书名:CPLD/FPGA技术应用作 者:王芳出版社:电子工业出版社ISBN:9787121147630出版时间:2011年11月1日开本:16开定价:21.00元内容简介《CPLD/FPGA技术应用》采用教、学、练一体化教学模式,以提高实际工程应用能力为目的,将EDA技术基本知识、VHDL硬件描述语言、可编程逻辑器件、开发软件应用等相关知识贯穿于多个实际案例中,使读 详情>>

CPLD FPGA 技术应用 技术 术应 应用


CPLD/FPGA控制系统设计

基本信息内容简介目录基本信息作者:周京华丛书名:普通高等教育电气信息类规划教材出版社:机械工业出版社*ISBN:9787111310228上架时间:2011-4-19出版日期:2011年4月开本:16开页码:239版次:1-1内容简介《cpld/fpga控制系统设计》首先阐述了可编程逻辑器件的基本情况,接着介绍了vhdl硬件描述语言的相关知识,然后介绍了quartusii编译环境、可编程逻辑器件应 详情>>

CPLD FPGA 控制系统 控制 制系 系统 设计


CPLD/FPGA设计与应用高级教程

图书信息内容简介目录图书信息书名:CPLD/FPGA设计与应用高级教程作 者:郭利文,邓月明出版社:北京航空航天大学出版社出版时间:2011-1-1ISBN:9787512402461开本:16开定价:39.00元内容简介本书结合目前主流的cpld/fpga产品以及最流行的设计理念,系统、详细地介绍cpld/fpga的硬件结构、硬件描述语言与验证语言的基础应用以及高级应用;详细介绍如何使用veri 详情>>

CPLD FPGA 设计 应用 高级 教程


CPLD/FPGA与ASIC设计实践教程

图书信息内容简介图书目录图书信息书名:CPLD/FPGA与ASIC设计实践教程作 者:邹道胜出版社:科学出版社出版时间:2010年9月1日ISBN:9787030288301开本:16开定价:35.00元内容简介《CPLD/FPGA与ASIC设计实践教程(第2版)》以大规模可编程逻辑器件为基础,详细介绍了PLD、CPLD/FPGA器件的原理和开发技术。第1~3章介绍EDA技术和可编程逻辑器件的原理 详情>>

CPLD FPGA ASIC 设计 实践 教程


CPLD入门与实践

图书信息内容简介作者简介图书目录图书信息书名:CPLD入门与实践作 者:周兴华出版社:中国电力出版社出版时间:2011年5月1日ISBN:9787512314962开本:16开定价:35.00元内容简介《CPLD入门与实践》以通俗的语言、翔实的实例,教会读者从零开始学会Xilinx公司的CPLD设计。当然由于设计语言VerilogHDL的通用性,读者也可以快速地将从《CPLD入门与实践》学到的设计 详情>>

CPLD 入门 实践


EDA技术与CPLD/FPGA开发应用简明教程

版权信息内容简介目录版权信息书名:EDA技术与CPLD/FPGA开发应用简明教程作 者:曹瑞出版社:清华大学出版社出版时间:2007ISBN:9787302156390开本:16定价:32.00元内容简介在信息技术高速发展的现代社会,电子系统的设计方法和设计手段已有了根本性的变化。可编程逻辑器件和EDA技术已广泛应用于通信、工业自动化、智能仪表、图像处理以及计算机等领域。因此,EDA技术是未来电子 详情>>

EDA 技术 CPLD FPGA 开发 应用 简明教程 简明 明教 教程


FPGA/CPLD系统设计与应用开发

图书信息内容简介目录图书信息作者:周淑阁出版社:电子工业出版社;第1版(2011年8月1日)其他:240页ISBN:9787121136238条形码:9787121136238产品尺寸及重量:25.8x18.2x1.6cm;440g内容简介本书共分4章。第1章是绪论;第2章讲述FPGA/CPLD的开发工具,主要是MAX+plusII和Quartus的使用方法;第3章讲述硬件描述语言,主要讲述VHD 详情>>

FPGA CPLD 系统 设计 应用开发 应用 用开 开发


FPGA\CPLD系统设计与应用开发

参见:FPGA/CPLD系统设计与应用开发 详情>>

FPGA CPLD 系统 设计 应用开发 应用 用开 开发


Verilog HDL与CPLD/FPGA项目开发教程

基本信息作者:聂章龙著张静译出版社:机械工业出版社ISBN:9787111313656出版时间:2010-09-01版 次:1页 数:218装 帧:平装开 本:16开所属分类:图书>教育与考试>高等教育内容简介《VerilogHDL与CPLD/FPGA项目开发教程》以Altera公司的MAXII系列EPMl270T144C:5N为蓝本阐述了基于CPLD/FPGA的数字系统设计方法,重点 详情>>

Verilog HDL CPLD FPGA 项目开发 项目 目开 开发 教程


VerilogHDL与CPLD/FPGA项目开发教程

参见:VerilogHDL与CPLD/FPGA项目开发教程 详情>>

VerilogHDL CPLD FPGA 项目开发 项目 目开 开发 教程


Xilinx FPGA/CPLD设计手册

图书信息内容简介目录图书信息作者:王杰(作者),王诚(作者),谢龙汉(作者)出版社:人民邮电出版社;第1版(2011年6月1日)平装:377页开本:16开ISBN:7115246653,9787115246653条形码:9787115246653产品尺寸及重量:26.6x20x1.8cm;599g内容简介《XilinxFPGA/CPLD设计手册》从初学者的角度出发,以全新的视角、合理的布局系统地介 详情>>

Xilinx FPGA CPLD 设计 手册


基于AlteraFPGA/CPLD的电子系统设计及工程实践

图书信息内容简介图书目录图书信息书名:基于AlteraFPGA/CPLD的电子系统设计及工程实践作 者:刘延飞王晓戎出版社:人民邮电出版社出版时间:2009年09月ISBN:9787115209986开本:16开定价:49.00元内容简介《基于AlteraFPGA/CPLD的电子系统设计及工程实践》以Altera公司的FPGA/CPLD芯片为主,详细介绍了AlteraFPGA/CPLD特点、Alt 详情>>

基于 AlteraFPGA CPLD 电子 系统 设计 工程 实践


基于FPGA和CPLD的数字系统设计

图书概况:(内容简介)图书目录试读部分章节图书概况:作 者:(爱尔兰)格劳特 著,黄以华 等译出版社:电子工业出版社出版时间:2009-2-1版 次:1页 数:462字 数:845000印刷时间:2009-2-1开 本:16开纸 张:胶版纸印 次:1ISBN:9787121083136包 装:平装内容简介本书系统地介绍了可编程逻辑器件类型、数字系统描述的硬件语言与设计方法,以及系统的测试和实现,从 详情>>

基于 FPGA CPLD 数字 系统 设计


基于Quartus II的FPGA/CPLD设计

基本信息内容简介目录基本信息作者:李洪伟丛书名:EDA工具应用丛书出版社:电子工业出版社ISBN:7121023873出版日期:2006年4月开本:16页码:281内容简介目前,cpld/fpga被广泛应用于家电、工控、通信、军工、航天等诸多领域。本书简单介绍了cpld/fpga的基本结构和原理。在此基础上,详细地介绍了fpga主要生产厂商altera公司的fpga器件,重点讲述了altera公司 详情>>

基于 Quartus II FPGA CPLD 设计


基于Quartus Ⅱ的FPGA/CPLD数字系统设计实例

图书简介:内容提要:图书目录:图书简介:作/译者:周润景图雅张丽敏出版社:电子工业出版社出版日期:2007年08月ISBN:9787121040917[十位:7121040913]页数:451重约:0.729KG定价:¥48.00旧书普通用户价:¥20.20为您节省:¥27.80旧书VIP用户价:¥18.10为您节省:¥29.90团购优惠价:¥17.20内容提要:本书介绍了使用QuartusⅡ7. 详情>>

基于 Quartus FPGA CPLD 数字 系统 设计 实例


基于Quartus2的FPGA/CPLD数字系统设计实例

参见:基于QuartusⅡ的FPGA/CPLD数字系统设计实例 详情>>

基于 Quartus2 Quartus FPGA CPLD 数字 系统 设计 实例


基于QuartusII的FPGA/CPLD设计与实践

图书信息内容简介图书目录图书信息书名:基于QuartusII的FPGA/CPLD设计与实践作 者:陈忠平,高金定,高见芳出版社:电子工业出版社出版时间:2010-4-1ISBN:9787121105371开本:16开定价:39.00元内容简介本书从实验、实践、实用的角度出发,通过丰富的范例讲述基于QuartusII9.0软件进行FPGA/CPLD应用产品的开发和应用。全书共6章,主要讲述了编程基础 详情>>

基于 QuartusII FPGA CPLD 设计 实践


基于QuartusII的FPGA/CPLD设计与应用

图书信息内容简介图书目录图书信息书名:基于QuartusII的FPGA/CPLD设计与应用作 者:赵艳华出版社:电子工业出版社出版时间:2009-9-1ISBN:9787121093227开本:16开定价:38.00元内容简介本书以提高读者实践操作能力和工程设计能力为目的,对EDA技术和FPGA/CPLD应用的相关知识进行了系统的介绍,内容包括EDA技术的基本知识,FPGA/CPLD的基本原理,Q 详情>>

基于 QuartusII FPGA CPLD 设计 应用


基于VHDL的CPLD/FPGA开发与应用

图书信息内容简介图书目录图书信息书名:基于VHDL的CPLD/FPGA开发与应用作 者:张丕状,李兆光出版社:国防工业出版社出版时间:2009-6-1ISBN:9787118064025开本:16开定价:30.00元内容简介本书以Xinlinx公司和Alter公司的CPLD/FPGA开发环境为基础,对VHDL硬件描述语言、CPLD/FPGA开发应用及相关知识做了系统和完整的介绍,使读者掌握CPLD 详情>>

基于 VHDL CPLD FPGA 开发 应用


手把手教你学CPLD/FPGA与单片机联合设计

图书信息内容简介图书目录图书信息书名:手把手教你学CPLD/FPGA与单片机联合设计作 者:周兴华出版社:北京航空航天大学出版社出版时间:2010年11月1日ISBN:9787512402447开本:16开定价:39.00元内容简介作者从2009年1月起,在《电子世界》杂志上连载了《手把手教你学CPLD/FPGA设计》讲座。《手把手教你学CPLD/FPGA与单片机联合设计》以此为蓝本,另外增加了大 详情>>

手把手 手把 把手 教你 你学 CPLD FPGA 单片机 单片 片机 联合 设计


Altera FPGA/CPLD设计(基础篇)

本书可作为高等院校通信工程、电子工程、计算机、微电子与半导体等专业的教材,也可作为硬件工程师和IC工程师的实用工具书,结合作者多年工作经验,系统地介绍了FPGA/CPL的基本设计方法。在介绍FPGA/CPLD概念的基础上,介绍了Altera主流FPGA/CPLD的结构与特点,并通过丰富的实例讲解QuartusII与ModelSim、SynplifyPro等常用EDA工具的开发流程。基本信息内容简介 详情>>

Altera FPGA CPLD 设计 基础


CPLD

CPLD(ComplexProgrammableLogicDevice)复杂可编程逻辑器件,是从PAL和GAL器件发展出来的器件,相对而言规模大,结构复杂,属于大规模集成电路范围。是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆(“在系统”编程)将代码传送到目标芯片中,实现设计的数字系统。简 详情>>

CPLD


CPLD/FPGA常用模块与综合系统设计实例精讲

本书详细介绍了CPLD/FPGA常用模块与综合应用系统设计的方法与技巧。全书共分为3篇22章,第1篇为基础知识篇,简要介绍了CPLD/FPGA硬件结构知识、VHDL硬件编程语言、Verilog与SystemC编程、常用开发工具;第2篇为常用模块设计实例篇,通过14个模块设计实例,详细介绍了CPLD/FPGA的各种开发技术和使用技巧,这些模块实例几乎涵盖了所有的CPLD/FPGA开发技术;第3篇为综 详情>>

CPLD FPGA 常用 模块 综合 系统 设计 实例 精讲


CPLD开发板

CPLD开发板(ComplexProgrammableLogicDevice),全称复杂可编程逻辑器件,是从PAL和GAL器件发展出来的器件,相对而言规模大,结构复杂,属于大规模集成电路范围。CPLD可对初、中级学习者设计提供帮助,用户降低学习成本和加快用户快速进入可编程逻辑器件设计开发领域,提供一个帮助用户快速开始可编程逻辑器件学习之旅的硬件平台。CPLD开发板是一种用户根据各自需要而自行构造逻 详情>>

CPLD 开发


CPLD芯片解密

CPLD芯片解密,又叫CPLD单片机解密,CPLD单片机破解,CPLD芯片破解。单片机攻击者借助专用设备或者自制设备,利用单片机芯片设计上的漏洞或软件缺陷,通过多种技术手段,就可以从芯片中提取关键信息,获取CPLD单片机内程序这就叫CPLD芯片解密。CPLD(ComplexProgrammableLogicDevice)是ComplexPLD的简称,一种较PLD为复杂的逻辑元件,是一种用户根据各自 详情>>

CPLD 芯片 解密


CPLD与FPGA

FPGACPLD与FPGA(二者关系FPGA的工作原理FPGA的基本特点FPGA的配置模式)FPGA主要生产厂商FPGA设计注意事项(I/O信号分配降低静态功耗降低动态功耗)FPGA与CPLD的辨别和分类FPGA的应用FPGA(Field-ProgrammableGateArray),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(AS 详情>>

CPLD FPGA


FPGA/CPLD技术实用教程

图书信息内容简介目录(第1章 可编程逻辑器件简介第2章 VHDL硬件描述语言第3章 QuartusⅡ软件及应用)图书信息FPGA/CPLD技术实用教程作 者:邹益民 主编出版社:中国电力出版社出版时间:2010-1-1开 本:16开ISBN:9787511401151定价:¥25.00内容简介《FPGA/CPLD技术实用教程》从实际应用角度出发,以Ahera公司的FPGA/CPLD芯片及相关EDA 详情>>

FPGA CPLD 技术 实用 教程


FPGA/CPLD系统设计与应用案例

本书共分为四大部分:数字电子技术(逻辑代数、组合逻辑电路、时序逻辑电路),可编程逻辑器件及开发工具(可编程逻辑器件、MAX+plusⅡ概述、原理图输入法设计、设计项目编译、电路仿真与时序分析、其他输入设计法和器件编程),硬件描述语言VHDL和EDA工程实例。图书信息内容简介图书目录图书信息书名:FPGA/CPLD系统设计与应用案例作 者:朱恭生,胡冬琴出版社:中国电力出版社出版时间:2009-7- 详情>>

FPGA CPLD 系统 设计 应用 案例


FPGA/CPLD应用设计200例

《FPGA/CPLD应用设计200例》分上、下两册。上册主要介绍FPGA/CPLD可编程控制器在网络通信、仪器仪表、工业控制、遥感遥测、汽车工业、航天军工及家用电器等领域的典型应用设计实例;下册主要介绍产品设计开发技巧、方法与秘诀,常用设计、开发工具及软件特性,常用芯片的结构特点等内容。《FPGA/CPLD应用设计200例》共计典型应用设计实例287个。版权信息内容简介编辑推荐目录版权信息书名:F 详情>>

FPGA CPLD 应用 设计 200